I need to check for a sequence till end of simulation, after the initial match.
property check_at_fall;
@(posedge clk)
$rose(enable) ##[1:$] $fell(enable) |-> ##[0:2] ch_sel_seq [*1000] ;
endproperty
assert_TELEMETRY : assert property (check_at_fall)
else `uvm_error("ADC_if",$psprintf("unexpected sequence "))
What I want is something like [*$]
instead of [*1000]
above. I don't want to give a huge number, I want to run it forever.