The problem is that I wanna use this sll
command but am getting this error (in the pic). I know the
bp := bp(0 to 6) & '0';
statement, but I still wanna use this sll. Can anyone help?
Error line: 5th from the last
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std;
entity mul is
port(
a, b : in std_logic_vector(0 to 3);
c : out std_logic_vector(0 to 7));
end mul;
architecture mul of mul is
begin
process(a, b)
variable bp, p : std_logic_vector(0 to 7);
begin
bp := "0000"&b;
p := "00000000";
for k in 0 to 3 loop
if a(k) = '1' then
p := p + bp;
end if;
bp := bp sll 1;
end loop;
c <= p;
end process;
end mul;