See the vhdl code below.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity newtestcpu is
port( reset :in std_logic;
PC :out std_logic_vector(15 downto 0);
IR :out std_logic_vector(15 downto 0)
);
end newtestcpu;
architecture CPUArch of newtestcpu is
BEGIN
process(reset) begin
case reset is
when '1' => PC<=x"FF87";
when others => IR<=x"aa01";
end case;
end process;
end architecture ;
I Start Compilation & Simulation
in Quartus II.
I give the reset
in node Value Forcing Low
(0) in the vwf file, and the Simulation Report
tells that the PC
out node Value 1111111110000111
(x"FF87"), and that the IR
out node Value 1010101000000001
(x"aa01"), which confuses me!
It seems that reset
has been 1
! I wonder why.