I want to use the clock of the BASYS 3 for my project. When I search for the constraint of the Project I found the following code:
set_property PACKAGE_PIN W5 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk]
Could someone explain it to me? I know clk
is the input for the clock but in the last line what should I do? Should I change anything? Also what period 10.00 and waveform{0 5}
means? Could you please help me?