I'm trying to create a SystemVerilog module that I could connect to a VHDL string. However, I cannot find a corresponding type in SystemVerilog. Using type "string" results in elaboration error in Questa.
VHDL code:
library IEEE;
use IEEE.std_logic_1164.all;
entity tb_serdes_support is
end entity;
architecture beh of tb_serdes_support is
component serdes_support is port (
cmd : in string
);
end component;
signal cmd : string(1 to 100);
begin
i_srds_support: serdes_support port map (
cmd => cmd
);
process
begin
cmd(1 to 12) <= "hello world!";
wait for 10 ns;
cmd(1 to 18) <= "hello world again!";
wait;
end process;
end architecture;
SV code:
module serdes_support (cmd);
import uvm_pkg::*;
input string cmd;
always_comb begin
$display(cmd);
end
endmodule
Edit: Error message (Questa):
** Error: (vsim-3059) Cannot connect a VHDL array signal to Verilog scalar port 'cmd'.