1

I am new to GHDL software.After downloading ghdl for windows I checked a simple half adder program. while using the command

ghdl -e ha_tb
ghdl -r ha_tb

I am getting an error like this... ghdl.exe: Cannot find entity or configuration ha_tb

Ghdl version used - 0.33 - win32 : https://sourceforge.net/projects/ghdl-updates/files/Builds/ghdl-0.33/ Please help me

RISCV
  • 15
  • 6
  • Two issues. first ghdl is currently undergoing revisions for relesae 1.0 (post ghdl-0.37), second, you don't provide a [method of replicating your problem](https://stackoverflow.com/help/minimal-reproducible-example) nor do you show ghdl performing analysis. Note the mcode version of ghdl doesn't not require elaboration (-e) but does require analysis (-a). –  Aug 17 '20 at 08:23
  • Presumably there's a VHDL source file (named perhaps ha_tb.vhdl or ha_tb.vhd depending on suffix usage. Analysis would be performed by ghdl -a ha_tb.vhdl etc. See the [ghdl documentation](https://ghdl.readthedocs.io/en/latest/index.html). –  Aug 17 '20 at 08:30
  • hi...first issue was solved..I downloaded latest version ghdl 0.37...and it is working fine for (-e) and (-a) elaborations. ghdl -a ha_tb.vhdl working fine no error with this....another problem is I want to generate the waveform from these files for that I downloaded gtkwave(latest version gtkwave 3.3.100-bin-win32) the command i used is --vcd<=waveform but it showing error – RISCV Aug 17 '20 at 10:51

0 Answers0